首页> 外文会议>24th ACM international conference on supercomputing 2010 >The Auction: Optimizing Banks Usage in Non-Uniform Cache Architectures
【24h】

The Auction: Optimizing Banks Usage in Non-Uniform Cache Architectures

机译:拍卖:在非统一缓存架构中优化银行使用

获取原文
获取原文并翻译 | 示例

摘要

The growing influence of wire delay in cache design has meant that access latencies to last-level cache banks are no longer constant. Non-Uniform Cache Architectures (NU-CAs) have been proposed to address this problem. Furthermore, an efficient last-level cache is crucial in chip multiprocessors (CMP) architectures to reduce requests to the off-chip memory, because of the significant speed gap between processor and memory and the limited memory bandwidth. Therefore, a bank replacement policy that efficiently manages the NUCA cache is desirable. However, the decentralized nature of NUCA has prevented previously proposed replacement policies from being effective in this kind of caches. As banks operate independently of each other, their replacement decisions are restricted to a single NUCA bank. We propose a novel mechanism based on the bank replacement policy for NUCA caches on CMP, called The Auction. This mechanism enables the replacement decisions taken in a single bank to be spread to the whole NUCA cache. Thus, global replacement policies that rely on the current state of the NUCA cache, such as evicting the least frequently accessed data in the whole NUCA cache, are now feasible. Moreover, The Auction adapts to current program behaviour in order to relocate a line that is being evicted from a bank in the NUCA cache to the most suitable position in the whole cache. We propose, implement and evaluate three approaches of The Auction mechanism. We also show that The Auction manages the cache efficiently and significantly reduces the requests to the off-chip memory by increasing the hit ratio in the NUCA cache. This translates into an average IPC improvement of 8%, and reduces energy consumed by the memory system by 4%.
机译:线延迟在高速缓存设计中的影响越来越大,这意味着对最后一级高速缓存存储区的访问延迟不再恒定。已提出非统一缓存体系结构(NU-CA)来解决此问题。此外,由于处理器与内存之间的巨大速度差距以及有限的内存带宽,高效的最后一级高速缓存在芯片多处理器(CMP)架构中对于减少对片外内存的请求至关重要。因此,需要一种有效地管理NUCA缓存的库替换策略。但是,NUCA的分散性质阻止了先前提出的替换策略在这种缓存中有效。由于银行彼此独立运作,因此其更换决定仅限于单个NUCA银行。我们针对CMP上的NUCA缓存提出了一种基于库替换策略的新颖机制,称为“拍卖”。这种机制使在单个存储区中做出的替换决策可以扩展到整个NUCA缓存。因此,依赖于NUCA缓存的当前状态的全局替换策略(例如,驱逐整个NUCA缓存中访问最少的数据)现在是可行的。此外,拍卖会适应当前程序的行为,以便将正在从NUCA缓存中的库中逐出的行重新定位到整个缓存中最合适的位置。我们提出,实施和评估“拍卖”机制的三种方法。我们还表明,拍卖会通过增加NUCA缓存中的命中率,有效地管理缓存并显着减少了对片外内存的请求。这意味着平均IPC改善了8%,并将内存系统消耗的能量减少了4%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号